VHDL

가산기를 표현하는 VHDL 소스

VHDL(VHSIC Hardware Description Language)은 디지털 회로혼합 신호(mixed-signal, 아날로그 신호 포함)를 표현하는 하드웨어 기술 언어이다. FPGA집적회로 등의 전자공학 회로를 처리하는 설계 자동화에 사용한다.

주로 디지털 회로 설계에 사용된다. 기존의 심볼에 의한 회로도 작성 대신 언어적 형태로 전자회로의 기능을 표현한다. 아날로그 신호(mixed-signal)는 VHDL-AMS(VHDL Analog and Mixed-Signal Extensions)[1]로 표현하나, 실제 활용면에서 디지털회로에 많이 적용되어 사용한다.

VHDL로 표현된 회로는, 실제 동작하는 기능적 소자로 변환하는 합성(synthesis) 과정을 거치면 동작할 수 있는 회로가 완성된다. 이때 FPGA나 ASIC 등을 위한 환경에 따라 합성된 실제회로의 소자가 달라지기 때문에 칩 설계 시 목적에 맞는 소자가 합성되도록 하는 개발도구가 존재한다. 예를 들어 FPGA를 판매하는 회사는 보통, 회로 입력(심볼 및 VHDL코드)부터 시작해서 VHDL 코드의 합성, 모듈(소자)의 배치 등을 지원하는 도구를 지원한다.[2]

  1. “IEEE Standard VHDL Analog and Mixed-Signal Extensions," IEEE Std 1076.1-1999 , vol., no., pp.i,, 1999”. 《IEEE Standard VHDL Analog and Mixed-Signal Extensions》 (영어). IEEE standards. 1999. 2013년 9월 21일에 확인함. 
  2. “Xilinx 도구 내려받기”. 《Vivado Design Suite》 (영어). Xilix. 2020년 6월 22일에 확인함. 

From Wikipedia, the free encyclopedia · View on Wikipedia

Developed by Tubidy