VHDL

VHDL (ang. Very High Speed Integrated Circuit Hardware Description Language) – język opisu sprzętu używany w komputerowym projektowaniu układów cyfrowych typu FPGA i ASIC.


From Wikipedia, the free encyclopedia · View on Wikipedia

Developed by Tubidy