Verilog

Verilog, standardiseeritult IEEE 1364, on riistvarakirjelduskeel (HDL), mida kasutatakse elektriliste süsteemide modelleerimiseks. Verilogi kasutatakse kõige enam üldisel registrilisel tasemel digitaalsete skeemide kavandamisel ja ülevaatusel. Lisaks kasutatakse seda ka analoog- ja digitaalsignaalide skeemide koostamisel.


From Wikipedia, the free encyclopedia · View on Wikipedia

Developed by Tubidy